Quartus II 17.1新建一个流水灯

  

诸图排序:从左到右,从上到下

一、软件设置

1、新建工程并添加FPGA芯片

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

2、新建.v文件并添加至顶层实体

 

 

 

 

 

 

3、元器件特性设置

 

 

 

 

 

 

 4、分析与阐述(生成网表文件)

 

 

 

  

 5、引脚分配

 

 

6、编译(包含分析与综合)

 

 

二、程序下载

1、选择烧录器

 

2、添加烧录文件

 

 

3、下载

 

 

posted on 2022-07-24 18:22  小黄豆先生  阅读(244)  评论(0编辑  收藏  举报