摘要: 三段式状态机,看着很繁琐,但是用起来条理清晰,自己总结一下第一段:状态改变这里需要特别注意的是,第5行,状态变化的时候,必须要使用时钟沿,上升或下降,不能在两个沿都变化,虽然这样仿真正确,但是下载到硬件中无效,状态不会变化 1: process(clk,rst_n) 2: begin 3... 阅读全文
posted @ 2013-05-19 10:05 Freezing_ 阅读(12330) 评论(0) 推荐(0) 编辑