AvalonV

2016年8月27日

MMU内存管理单元相关知识点总结

摘要: 1.MMU是Memory Management Unit的缩写,中文名是内存管理单元,它是中央处理器(CPU)中用来管理虚拟存储器、物理存储器的控制线路,同时也负责虚拟地址映射为物理地址,以及提供硬件机制的内存访问授权,多用户多进程操作系统。 2.虚拟内存由来:许多年以前,当人们还在使用DOS或是更 阅读全文

posted @ 2016-08-27 18:04 AvalonV 阅读(5432) 评论(1) 推荐(0) 编辑