1、在线逻辑分析仪的原理

  

 

2、在线逻辑分析仪的三种办法

  一、在HDL代码中例化一个ILA IP核

    点击Flow Navigator -->PROJECT MANAGER -->IP Catalog

                 

 

    在弹窗的Search栏中输入ILA,可以找到Debug--> ILA(integrated Logic Analyzer)

     

 

     双击ILA(integrated Logic Analyzer),弹出ILA IP核的配置页面

    

 

     Component Name保持不变,Number of probes是探针的个数,根据待测试的信号设置,设置后,左边的图框自动发生变化

    

 

    根据待测信号的位宽来设置探针的位宽,点击OK

  ·  

 

     在弹窗中保持默认,直接点击Generate,此时Vivado开始对ILA IP核进行OOC综合

     

 

     综合完,在Source图框 Design Source栏下面可以看到ila_0.xci

    

 

      双击Source栏--> IP Sources -->ila_0-->Instantiation Template-->ila_0.veo,打开例化模板文本文件

     

 

     找到例化模板的内容

    

 

    将红框中的代码复制到顶层HDL代码led.v中,并将ILA的时钟和探针信号连接到顶层设计中

    

 

     保存源文件,直接综合并实现设计,生成比特流,下载之后,Vivado 会自动代开ILA的调试窗口

    

 

     点击触发采集信号按钮,可以观察到此信号的波形

    

 

     在Trigger Setup栏中将触发条件设置为CNT = 25_000_000,当CNT=25000000时,就会将RAM中的数据上传到Vivado

     

 

               

 

 

 

  二、在综合后的网表中,分别标记要观察的信号,通过向导设置IP核,不需要修改HDL代码

  三、手动在XDC约束文件中书写对应的Tcl XDC调试命令  

 

posted on 2022-09-08 09:57  信义勤爱  阅读(875)  评论(0编辑  收藏  举报