1、打开软件

  双击软件图标,弹出如下图框

        

 

 

2、新建工程

  一、点击Create Project

            

  二、弹出

    

 

  三、点击Next,弹出下图

    

 

   四、修改Project name 和Project location,点击next

    

 

   五、选择RTL Project,取消 Do not specify sources at this time前面的√,点击Next

    

 

   六、点击Creat File

    

 

    七、修改File Name,点击OK

    

 

    八、点击Next

    

 

   九、点击Create File

    

 

   十、修改 File Name 点击OK

    

 

     十一、点击Next,在弹窗中选择器件,笔者使用的事ZYNQ7020

    

 

    

    十二、点击Next,弹出项目概要窗口,点击Finish

     

 

     十三、弹窗中点击OK

    

 

   十四、弹窗中点Yes,最终创建好的项目窗口如下所示

    

 

 

3、设计输入

    一、点击Source栏的Design Source下拉框,显示.V文件,双击,在.V文件中添加对应的代码

    

 

   二、也可以通过那个加号,添加新的.v文件

 

4、分析与综合

  一、通过点击Flow Navigator

    -->RTL Synthesis

      -->Open Elaborated Design

  

 

   二、弹窗点击OK

    

 

   三、系统开始对RTL源文件进行编译,完成后点击

     RTL ANALYSIS

      --> Open Elaborated Design

        -->Schematic

    

 

   弹出原理图,如下所示

  

 

   

  点击 SYNTHESIS -->Run Synthesis,在弹窗中点击Save

  

 

   在弹窗中点击OK,开始综合

  

 

   完成之后的弹窗如下,选择 Open Synthesized Design,点击OK

          

 

  弹窗中选择Yes

  

   

 

 

5、约束输入

 双击Source框下的Constraints -->constrs_1 -->led.xdc文件

  在右边会打开led.xdc文件

  

 

 

  在led.xdc文件中添加约束文件,保存

  

 

 

 

6、设计实现

点击 Flow Navigator -->IMPLEMENTATION -->Run Implementation,在弹窗中点击Yes,OK

           

 

 

 

 在完成弹窗中点击OK

      

 

 

7、生成和下载比特流

  点击Flow Navigator --> PROGRAM AND DEBUG --> Generate Bitstream,在弹窗中点击OK,开始生成比特流

  点击Flow Navigator --> PROGRAM AND DEBUG --> Open Hardware Manager,将电路板上电,下载器连上

  点击Hardware图框的连接图标

    

 

   Hardware图框下出现以下内容,表示与电路板已经连接好

    

 

 点击 Program device

  

 

 

在弹窗中点击 Program,开始下载比特流

    

 

 

 正常情况下,此时电路板上的两个灯应该在交替闪烁。

 

posted on 2022-09-07 16:41  信义勤爱  阅读(501)  评论(0编辑  收藏  举报