2010年1月28日

xilinx编写高效的验证平台

摘要: Writing Efficient Testbenches 编写高效的测试设计(testbenches) 原文作者:Mujtaba Hamid 注: 一个设计的测试验证是非常重要的。有效的测试可以助我们快速的完成或改善设计。Testbenches建议编写有效的测试代码来通过软件实现可靠的验证。无意中发现,顺手译为中文,以备将来方便。也贴给没有找到更好中文版本的同道人。 Testbenche... 阅读全文

posted @ 2010-01-28 20:19 fpga_hjh 阅读(2904) 评论(0) 推荐(2) 编辑

modelsim(1):经常使用的测试设计的结构

摘要: parameter CLK_CYC_20M = 50;initial begin clk_20m = 1'b0; forever #(CLK_CYC_20M / 2) clk_20m = ~clk_20m; //40MHz clockend20M 晶体,周期50nS,半个周期为25ns;因此 forever 每隔25nS 方波翻转一次。 说明经常使用的测试设计的结构 :1: 产生时钟信号 使用系... 阅读全文

posted @ 2010-01-28 19:15 fpga_hjh 阅读(1695) 评论(0) 推荐(1) 编辑

导航