2018年3月17日

总结verilog产生随机数的$random和seed

摘要: $random(seed)是verilog中最简单的产生随机数的系统函数。 在调用系统函数$random(seed)时,可以写成三种样式:1)$random,2)$random(),3)$random(seed)。下面分别说明: 1)$random 这是最简单的一种写法,略去了seed这个传入参数, 阅读全文

posted @ 2018-03-17 20:07 super_star123 阅读(6788) 评论(2) 推荐(0) 编辑

导航