摘要: 本文首发于微信公共号: 中产之路 我的最新思考都会更新这里,你不妨关注一下 小米上市,那些年错过了的可以暴富的工作机会 一文中京杭君感叹 大部分初入职场的年轻人年富力强,当打之年因为眼界、格局等各方面修行火候欠缺进入一个平庸的行业、或者平庸的公司 恍然大悟,机会窗口周期已经过去 绝大多数人,逆袭或者 阅读全文
posted @ 2018-05-15 12:31 Dillonn 阅读(248) 评论(0) 推荐(0) 编辑
摘要: 【作者:Dillonn 出处: http://www.cnblogs.com/Dillonn/p/4226929.html 欢迎转载,请务必保留本行】 二叉树的创建及遍历是很多二叉树问题的基础,递归遍历逻辑清晰,代码简约漂亮,然则效率低下(所有递归方案的通病,非不得已不用递归); 非递归遍历... 阅读全文
posted @ 2015-01-15 21:19 Dillonn 阅读(1610) 评论(4) 推荐(3) 编辑
摘要: 首先货币是什么,货币包括流通中的现金,想象一下, 它们是居民钱包里的纸币、 投币箱里的硬币、 金融体系外各单位保险柜里的钱, 它们花花绿绿,有伟人,有山水; 货币还包括个人和单位在银行的定活期存款,以及证券保证金, 它们是计算机代码,有0,有1。 上述货币合起来被称为“M2”,我们常在经济分析文章中 阅读全文
posted @ 2018-04-05 21:39 Dillonn 阅读(377) 评论(0) 推荐(0) 编辑
摘要: http://x-algo.cn 阅读全文
posted @ 2016-11-05 23:26 Dillonn 阅读(219) 评论(0) 推荐(0) 编辑
摘要: 8 00 * * * * cd /tmp; find ./ -mmin +1200 -exec rm -rf {} \; 9 00 * * * * cd /home/work/tmp; find ./ -mmin +1200 -exec rm -rf {} \; 10 22 * * * source 阅读全文
posted @ 2016-10-22 00:16 Dillonn 阅读(410) 评论(0) 推荐(0) 编辑
摘要: Java基础http://www.cnblogs.com/dolphin0520/category/361055.htmlJava并发编程http://www.cnblogs.com/dolphin0520/category/602384.html 阅读全文
posted @ 2015-11-07 22:06 Dillonn 阅读(120) 评论(0) 推荐(0) 编辑
摘要: LeetCode – Word LadderGiven two words (start and end), and a dictionary, find the length of shortest transformation sequence from start to end, such t... 阅读全文
posted @ 2015-06-02 12:40 Dillonn 阅读(211) 评论(0) 推荐(0) 编辑
摘要: 本笔记为自己学习之用,对笔记内容感兴趣的读者还请购买正版书籍《推进系统实践》,尊重作者著作权益!第1章 好的推荐系统1.1 什么是推荐系统 推荐系统的基本任务联系用户和物品,解决信息过载问题:帮助用户发现他们感兴趣的信息;将信息推送给对他们感兴趣的用户。 解决信息过载问题代表性的方案有:分类目录... 阅读全文
posted @ 2015-05-20 10:45 Dillonn 阅读(432) 评论(0) 推荐(0) 编辑
摘要: 一段时间不用Linux,忘的差不多了, 在腾讯游戏的面试中有问道查看网络状态的命令, 模糊记得是nstat(实际是 netstat,汗)。以下文章转自:竹子-博客(.NET/Java/Linux/架构/管理/敏捷)http://www.cnblogs.com/peida/archive/20... 阅读全文
posted @ 2015-05-16 16:09 Dillonn 阅读(147) 评论(0) 推荐(0) 编辑
摘要: 昨天参加一个面试,第二个问题是:程序是怎么变成执行的进程的? 虽然知道 fork -> exec 等关键步骤,却不能系统地把基本过程表达出来! 面试官觉得这个是必须掌握的基础知识,所以基本在这里就被out了,悲剧! 虽然在面试之前 “APUE” 和 博主vamei 的下面这篇文章都读过两次... 阅读全文
posted @ 2015-05-16 08:11 Dillonn 阅读(207) 评论(0) 推荐(0) 编辑
摘要: Download STL source code-> http://www.sgi.com/tech/stl/download.html 阅读全文
posted @ 2015-01-26 14:23 Dillonn 阅读(1165) 评论(0) 推荐(0) 编辑