摘要: 问题综述: 我使用的是windows 10 32位专业版系统,电脑装的是ISE14.4版本,当我用此ISE自带的仿真器ISIM来仿真时,仿真器总是报错ERROR:Simulator:861 – Failed to link the design。 多次检查Verilog代码,确认没有错误,但仿真是依然是这个问题,于是我用google搜索了一下,发现只要是Windows 8 版本以上的系统都会出... 阅读全文
posted @ 2018-05-03 17:05 大梦千古 阅读(1441) 评论(0) 推荐(0) 编辑