如何随机化一个结构体变量

定义一个可随机化的结构体

非合并的结构体可以随机化,但是只支持rand关键词,randc关键词只支持合并结构体。
定义一个可随机化的结构体如下:

typedef struct {
  bit [31:0] a = 32'ha5a5a5a5;
  rand bit [7:0] seq;
} mystruct_s;

rand mystruct_s my1;

需要注意的是rand其实可以省略,但是不建议省略。

对结构体进行随机约束

  1. 必须std::randomize(seq)对seq变量进行随机化,直接使用randomize将会报错如下
  2. 必须使用with提供约束,使用constraint声明的约束将不起作用。

示例

代码如下

typedef struct {
  bit [31:0] a = 32'ha5a5a5a5;
  rand bit [7:0] seq;
} mystruct_s;

rand mystruct_s my1;

$display(my1);
std::randomize(my1.seq) with{my1.seq>=0;my1.seq<=5;};
$display(my1);
std::randomize(my1.seq) with{my1.seq>=10;my1.seq<=15;};
my1.a = 32'h12345678;
$display(my1);

结果如下

参考文献

https://verificationacademy.com/forums/systemverilog/whether-we-can-randomize-structures-and-its-members

posted @ 2023-08-15 00:28  程默白  阅读(130)  评论(0编辑  收藏  举报