摘要:OpenCores 是全世界最大的用于开发开源硬件 IP核心的网站和社区 https://opencores.org 验证学院 https://verificationacademy.com/ 3.验证指南 https://www.verificationguide.com/p/home.html
阅读全文
摘要:各家工具的lic和feature https://alllicenseparser.com/engineering-db/flexlm/ 各家tools的基本所有feature。比如: https://alllicenseparser.com/engineering-db/flexlm/cdslmd
阅读全文
摘要:对于code coverage,在编译和仿真需要加额外参数。对于function coverage,编译和仿真不需要加额外参数; ##1、覆盖率选项 使用的较多的是-cm, -cm_name, -cm_dir 这三个选项编译和仿真过程都要加上。 ``` -cm:指定使能覆盖率的类型,包括:line、
阅读全文
摘要:``` .PHONY: cov_merge cov_view cov_merge: imc -execcmd "merge cov_work/scope/*/* -overwrite -out merged_cov" -logfile cov_merge.log cov_view: imc -loa
阅读全文
摘要:SystemVerilog中的宏是一种预处理指令,用于在代码中定义和使用常量、字符串、表达式、代码段。宏可以使代码更加简洁和易于阅读,提高代码的可重用性和可维护性。 宏在验证环境的搭建中经常使用,主要有以下的一些场景。 定义常量 宏可以用来定义常量,如数据位宽,lane个数等。 ``` `defin
阅读全文
摘要:VCS是一款常见的Verilog编译工具,它提供很多编译选项来控制编译过程及其输出。本文主要介绍以下两个编译选项: `-y ` 用于指定搜索路径。例如,如果你将一个设计分为若干个不同的模块或模块库,并希望在编译期间找到这些文件,就可以使用-y选项告诉编译器应该去哪里寻找它们。例如,如果你的模块库位于
阅读全文
摘要:1. 前言: LSF(Load Sharing Facility)是IBM旗下的一款分布式集群管理系统软件,负责计算资源的管理和批处理作业的调度。它给用户提供统一的集群资源访问接口,让用户透明地访问整个集群资源。它通常是高性能计算环境中不可或缺的基础软件。LSF 是一种强大的工作负载管理平台,提供基
阅读全文
摘要:0.前言 EDA环境变量的设置可以说是芯片验证工程师的一项基本功,环顾四周,发现身边依然有不少小伙伴对EDA环境变量的设置并不是很熟悉,今天分享一份供大家参考。BTW,上次发这篇文章的时候,复制粘贴搞糊了,误把csh的部分设置粘贴到bash部分了。感谢网友及时指出,非常感谢!本篇已经做了更正,文末有
阅读全文
摘要:VCS的仿真选项分编译(compile-time)选项和运行(run-time)选项。编译选项用于RTL/TB的编译,一遍是编译了就定了,不能在仿真中更改其特性,例如define等等。而仿真选项常用于仿真过程中控制仿真过程的选项,例如波形dump, testplusargs等等。 1.1 VCS常用
阅读全文
摘要:一,基础问答 1,Xcelium的由来? Xcelium(xrun)是cadence最新的仿真工具,Incisive(irun)的升级版本。 2,如何用xrun完成三步仿真? xrun默认是单步仿真 ``` xrun add.v //自动完成comp , elab ,sim ``` 三步仿真: ``
阅读全文
摘要:cadence,有两大验证仿真工具。一个是IUS,一个是IES。 IUS是cadence以前的仿真工具,功能略弱。代表工具,ncverilog。 官方介绍: IUS(incisive unified simulator) Cadence IUS allows to perform behaviora
阅读全文
摘要:目录 前言 一、MSIE是什么? 二、MSIE有什么好处? 三、使用步骤 1.MSIE IIP流程 2.MSIE PII 流程 3.关于HREF 总结 前言 Xcelium为了应对复杂的SOC设计和减少re-elaborate设计的时间提出了MSIE的流程(Multi-Snapshot Increm
阅读全文
摘要:只有一个block时; ``` register UARTIBRD { bytes 4; left_to_right; field not_defined { bits 16; access ro; reset 'h0; } field rev { bits 5; access rw; reset
阅读全文
摘要:compile: vcs -full64 //Enables compilation and simulation in 64-bit mode. -sverilog //Enables the analysis of SystemVerilog source code. -ntb_opts uvm
阅读全文
摘要:Xcelium XRUN User Guide Product Version 22.09 September 2022 Document Last Updated: May 2022 Contents 1 Overview 1.1 How xrun Works 1.2 File Type Supp
阅读全文
摘要:#### 3.4.2.1 -64bit 该选项支持分层引用: 调用xrun的64bit版本。 当你调用xrun时,除了包含-64bit命令行选项外,你还可以通过以下方式运行64位版本: - 将PATH环境变量设置为指向64位版本。 - 设置XCELIUM_64BIT或CDS_AUTO_64BIT环境
阅读全文
摘要:VIP Run-Time Control (.denalirc) Features Product Version 11.3 August 2016 Contents目录 # 1 Using Run-Time Control Files ## 1.1 Introduction ### 1.1.1 E
阅读全文
摘要:今天和大家分享一个小技巧,你在debug问题的时候,是不是也曾经想过将波形中的某些信号转化成txt,然后用txt的值复现某些波形或者与理论值对比。今天这篇文章就教大家怎么用verdi将fsdb格式的波形转化成txt。 这里用到的工具是verdi的fsdbreport指令。 以下面的波形为例,我想将c
阅读全文
摘要:命令行调用Verdi平台 1. 如果没有指定manage.rc资源文件,则库设置从novas.rc资源文件里面load; 2. 支持load verilog的压缩文件gzipped(*.gz); 3. 如果编译的门级设计因为ECO修改使用了nECO模块,则不能使用-ssy,-ssz和-ssv选项。
阅读全文