摘要:值变转储文件(VCD)是一个ASCII文件,它包含仿真时间、范围与信号的定义以及仿真运行过程中信号值的变化等信息。设计中的所有信号或者选定的信号集合在仿真过程中都可以被写入VCD文件。 后处理工具可以把VCD文件作为输入并把层次信息、信号值和信号波形显示出来。现在有许多商业后处理工具以及集成到仿真器
阅读全文
摘要:任务和函数可概括为以下特点: 1.任务和函数都是用来对设计中多处使用的公共代码进行定义;使用任务和函数可以将模块分割成许多个可独立管理的子单元,增强了模块的可读性和可维护性;它们和C语言中的子程序其相同的作用。 2.任务可以具有多个输入、输入/输出(inout)、输出变量;在任务中可以使用延迟事件和
阅读全文
摘要:第四章 FIFO时序模型 本章描述FIFO时序模型。它包含以下部分: 4-36页的时序模型。 4-37页生成器规范。 4-41页的FIFO时序点 。 4-43页的检查器行为。 4-44页生成器特性。 4-45页链接生成器通道。 4.1时序模型 流量通道的关键部分是事物之间的时序。在生成事务时需要这些
阅读全文
摘要:第三章 时序参数 本章描述了用于控制AMBA流量的信号之间的关系: 第3-26页的主要和次要时序。 第3-31页的复杂事务。 3.1 主要和次要时序 有两种类型的时序参数:主要的和次要的。 主要时序参数通常是定义系统行为中最重要的参数。例如,两个主要的时序参数包括: 发出两个事务之间的时间,它有效地
阅读全文
摘要:第二章 信号值 本章描述了流量通道的信号组件: 第2-20页对信号值的通用描述。 第2-21页流量信号的组件。 2.1 信号值的通用描述 流量通道是一组包含以下两种定义的集合: 信号值 时序参数 这部分描述了信号的数值。控制事务时序的握手信号的时序参数在第3章时序参数中有介绍。 期望与流量通道相关联
阅读全文
摘要:AMBA Adaptive Traffic Profiles Specification AMBA自适应流量通道技术规范 第一章介绍 本章介绍AMBA自适应流量通道规范: 关于AMBA自适应流量通道在第1-14页。 在第1-15页使用测试用例。 在第1-17的层次结构。 1.1 关于AMBA自适应流
阅读全文
摘要:UVM验证平台中提到函数,他们往往是定义在某个class中的,你调用某个函数其实是某个class中的函数! 我们今天说的get_name(), get_full_name(), get_type_name(), get_type(), set_name()这些小函数,用的时候,还真是各自class各
阅读全文
摘要:字符串在验证平台中是经常被使用的,今天我们来说说在SystemVerilog中非常重要和常用的
sformatf()/sformat()函数,他们是什么含义? 1.sformat和sformatf的区别 首先Jerry和大家解释下这两个函数什么含义。看下这段代码: string jerry_str
阅读全文
摘要:查看当前发行版可以使用的shell有哪些? [root@localhost ~]$ cat /etc/shells /bin/sh /bin/bash /usr/bin/sh /usr/bin/bash /bin/ksh /bin/rksh /bin/zsh /bin/tcsh /bin/csh /
阅读全文
摘要:类声明 class uvm_sequencer #( type REQ = uvm_sequence_item, RSP = REQ ) extends uvm_sequencer_param_base #(REQ, RSP) |new|使用给定的名称和父类(如果有)创建该类实例的标准componn
阅读全文
摘要:类声明 virtual class uvm_sequence #( type REQ = uvm_sequence_item, type RSP = REQ ) extends uvm_sequence_base 变量 |变量|说明| | | | | rsp | 此sequence包含被称为rsp请
阅读全文
摘要:identification description get_sequence_id 私有 set_item_context 对sequence item设置sequence 和sequencer的执行上下文 set_use_sequence_info/get_use_sequence_info 这
阅读全文
摘要:HIERARCHY INTERFACE These methods provide user access to information about the component hierarchy, i.e., topology. get_parent 返回此component的父component
阅读全文
摘要:方法 说明 set_name 设定此对象的实例名,覆盖任何以前给定的名称。 get_name 返回对象的名字,正如在new构造函数或set_name方法中提供的名字参数。 get_full_name 返回此对象的完整层次结构名称。 get_type 返回此对象的类型代理(封装器)。 get_obje
阅读全文
摘要:一、LSF 基本介绍 LSF(Load Sharing Facility)是IBM旗下的一款分布式集群管理系统软件,负责计算资源的管理和批处理作业的调度。它给用户提供统一的集群资源访问接口,让用户透明地访问整个集群资源。同时提供了丰富的功能和可定制的策略。LSF 具有良好的可伸缩性和高可用性,支持几
阅读全文
摘要:一、LSF 基本介绍 LSF(Load Sharing Facility)是IBM旗下的一款分布式集群管理系统软件,负责计算资源的管理和批处理作业的调度。它给用户提供统一的集群资源访问接口,让用户透明地访问整个集群资源。同时提供了丰富的功能和可定制的策略。LSF 具有良好的可伸缩性和高可用性,支持几
阅读全文
摘要:Flexnet是一系列优化管理解决方案的统称,通过软件加密、软件授权进行服务。 Flexera Software 公司旗下的一系列软件加密、 软件授权和企业软件资产优化管理解决方案的统称,包含 FlexNet Producer Suite和 FlexNet Manager Suite两个套件,其中F
阅读全文
摘要:shell中运行的每个命令都使用退出状态码告诉shell它已经运行完毕。提出状态码是一个0-255的整数值,在命令结束运行时有命令传给shell。可以捕获这个值并在脚本中使用。 Linux提供了一个专门的变量$?来保存上个已执行命令的退出状态码。对于需要进行检查的命令,必须在其运行完毕后立刻查看或使
阅读全文
摘要:UVM中成功返回非零值: UVM中返回值的使用: virtual function void build_phase(uvm_phase phase); super.build_phase(phase); `uvm_info("my_driver", "build_phase is called",
阅读全文
摘要:7.3 Unions 联合体是一种使用指定成员数据类型,表示可以被访问的单个存储块的数据类型。一次只能使用联合体中的一种数据类型。默认情况下,联合体是非合并的,这意味着没有必要表示该联合体成员的存储方式。动态类型和chandle类型只能在标记的联合体中使用。 联合声明的语法见语法7-2。 13)当s
阅读全文
摘要:7. Aggregate data types 7.1 General 本条款描述以下内容: — 结构定义和用法 — 联合体的定义和使用 — 合并数组、非合并数组、动态数组、关联数组和队列 — 数组查询和操作方法 7.2 Structures 结构体表示可以作为一个整体引用的数据类型的集合,或者组成
阅读全文
摘要:第九章 原子性 本章定义了两个原子属性。它包括以下部分: -- 单次拷贝原子性大小 on page 9-76. -- 多次拷贝原子性on page 9-77. 9.1 Single-copy atomicity size 单次拷贝原子性大小定义了传输中保证以原子方式更新的数据字节数。 单次拷贝原子性
阅读全文
摘要:Appendix A 历史版本 本附录描述了本规范各发布版本之间的技术变化。 Table A-1 Issue A |Change| Location |Affects| | | | | |First release. |− |−| Table A-2 Differences between issu
阅读全文
摘要:第十章 用户信号 本章描述了可选的用户定义信号集,在每个通道上,称为用户信号。它包括以下部分: -- 用户信号描述on page 10-80. -- 用户信号互连建议on page 10-81. Note 通常,本规范建议不使用User信号。AHB协议没有定义这些信号的功能,如果两个组件以不兼容的方
阅读全文
摘要:第八章 独占传输 本章描述独占传输的概念。它包括以下部分: -- 概述 on page 8-70. -- 独占访问监控 on page 8-71. -- 独占访问信号 on page 8-72. -- 独占传输的限制 on page 8-73. 8.1 Introduction AHB5定义了Exc
阅读全文
摘要:7.9 Associative array methods 除了索引操作符,还提供了几个内置的方法,允许用户分析和操作关联数组,以及迭代其索引或键。 7.9.1 Num() and size() Num()和size()方法的语法如下: function int num(); function in
阅读全文
摘要:7.8 Associative arrays 动态数组对于处理数量动态变化的连续变量集合很有用。当集合的大小未知或数据空间稀疏时,关联数组是更好的选择。关联数组在被使用之前不会分配任何存储空间,并且索引表达式不限于整数表达式,而可以是任何类型。 关联数组实现其声明类型的元素的查询表。要用作索引的数据
阅读全文
摘要:7.11 Array querying functions SystemVerilog提供了返回数组信息的系统函数。这些是
left,right,
low,high,
increment,size,
dimensions和unpacked_dimensions。这些函数将在20.7中
阅读全文
摘要:systemverilog中sformat和sformatf的用法有哪些? 1.基本使用方法 首先查看一下这两个函数什么含义。看下这段代码: string inside_string; string outside_string; $sformat(inside_string, “1.value==
阅读全文
摘要:第七章 Clock and Reset 介绍协议时钟和复位信号的时序。它包括以下部分: -- 7-68页的时钟和复位要求。 7.1 Clock and reset requirements 这一部分介绍HCLK和HRESETn信号的实现要求。 7.1.1 Clock 每个组件使用一个单一的时钟信号,
阅读全文
摘要:第六章 数据总线 本章描述了数据总线。它包含以下部分: -- 数据总线 on page 6-60. -- 大小端 on page 6-61. -- 数据总线宽度 on page 6-65. 6.1 Data buses 实现AHB系统需要独立的读写数据总线。虽然推荐的最小数据总线宽度被指定为32位,
阅读全文
摘要:第五章 从机响应信号 介绍从机响应信号。它包括以下部分: -- 从机传输响应on page 5-56. 5.1 Slave transfer responses 在主机开始传输后,控制传输的进展。在传输开始后,主机不能取消传输。 对于支持AHB5 Exclusive_Transfers属性的组件,请
阅读全文
摘要:第四章 总线互联 本章描述AHB系统所需的附加互连逻辑。它包括以下部分: --互联on page 4-52. --地址解码 on page 4-53. -- 读数据和响应多路复用器 on page 4-54. 4.1 Interconnect 互连组件提供系统中主从之间的连接。 一个单一的主系统只需
阅读全文
摘要:第二章 信号描述 这一章描述了协议信号。它包含以下部分: Global signals on page 2-20. Master signals on page 2-21. Slave signals on page 2-23. Decoder signals on page 2-24. Multi
阅读全文
摘要:vim中大小写转化的命令是:gu或者gU,形象一点的解释就是小u意味着转为小写,大U意味着转为大写。接下来说明对这两个命令的限定(限定操作的行,字母,单词)等等。 1.整篇文章大写转化为小写 打开文件后,无须进入命令行模式。 键入:ggguG 解释一下:ggguG分作三段gg gu G gg=光标到
阅读全文
摘要:submatch({nr})函数,只用于:substitute 命令或 substitute() 函数中。它将返回匹配文本的第{nr}个子匹配。如果{nr}为0,则返回整个匹配文本。 将submatch()和其它函数相结合,可以对替换文本进行更丰富的操作。使用:help submatch()命令,可
阅读全文
摘要:21.3.3 Formatting data to a string 数据格式化为字符串 swrite任务族和sformat系统任务的语法见语法21-6。 swrite任务家族是基于fwrite任务家族的,它接受与它所基于的任务相同类型的参数,但有一个例外:swrite的第一个参数应该是一个整数、非
阅读全文