Loading

Verilog标识符与关键字

Verilog HDL中标识符(Identifier)是用于索引的名称,可用于声明数据,变量,端口,例化名等。又可分为普通标识符和转义标识符。普通标识符是由任意字母,数字,美元符号$或下划线构成的序列,但需要注意首字符不可为数字或$,但可以是字母和下划线,并且区分大小写。

合法普通标识符:

shiftreg_a _bus3 n$657

不合法普通标识符:

3sum a/b ab@cd

 

转义标识符(Escaped identifier)由反斜线开始,以空格终止。转移标识符提供了一种在标识符中包含任何可打印ASCII字符的方法。

转移标识符仅反斜线与空格内的元素视作标识符内容,所以\cpu3 与无转义的cpu3是一样的。

合法转义标识符:

\busa+index \-clock \**error-condition**
\net1/\net2  \{a,b} \a*(b+c)

 

关键词是预定义的非转义标识符,但以转义字符开头的Verilog HDL关键字不会被解释为关键字。

关键字列表可以在Verilog-2005标准中查找。

References: IEEE.1364-2005

posted @ 2021-12-05 18:56  月光小猪(已长膘)  阅读(935)  评论(0编辑  收藏  举报