2014年5月7日

Altera quartus II遇到的问题

摘要: 编译时提示:Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "SCLK" is stuck at GND Warning (13410): Pin "SYNCn" is stuck at VC... 阅读全文

posted @ 2014-05-07 17:23 oO龍飛Oo 阅读(2065) 评论(0) 推荐(0) 编辑

导航