摘要: 1.算法仿真效果 本程序系统是《m基于FPGA的MSK调制解调系统verilog开发,并带FPGA误码检测模块和matlab仿真程序》的的升级。 升级前原文链接 增加了完整的AWGN信道模型的FPGA实现,可以在testbench里面设置SNR,分析不同SNR对应的FPGA误码率情况。 vivado 阅读全文
posted @ 2024-07-17 23:40 我爱C编程 阅读(2) 评论(0) 推荐(0) 编辑