摘要: 1. VHDL程序的组成 一个完整的VHDL程序是以下五部分组成的: 2. 库(LIBRARY):比较好理解,调用系统已有的库,WORK库就是用户当前编辑文件所在的文件夹, IEEE库:由IEEE(美国电子电机工程师学会)制定的标准库 LPM库 3. 程序包(PACKAGE):声明在设计中将用到的常 阅读全文
posted @ 2018-04-22 17:31 429512065 阅读(9141) 评论(0) 推荐(0) 编辑
摘要: 1. 用这个板子做个什么功能来学习?板子上有个温度传感器,看下官方是否有例程,板子售价1780元,相当的贵,下面是I2C接口, 看下芯片的引脚图 2. 资料下载地址,得注册账号 3. 下面的例程,包括温度传感器的参考代码 4. 找到温度传感器的参考代码 5. 研究下代码吗,不过我VHDL不太懂,所以 阅读全文
posted @ 2018-04-22 16:35 429512065 阅读(1765) 评论(1) 推荐(0) 编辑