如何运行张强 UVM实战书中的例子

UVM实战中的例子代码
使用 tar -zxvf filename 进行解压 得到 puvm 和 uvm-1.1d puvm 为书中代码的例子  uvm-1.1d为UVM的库文件
步骤:
1、设置UVM库文件的环境变量
2、运行UVM库文件
1、修改setup.vcs脚本
2、加载setpup.vcs脚本
3、设置环境变量
4、修改run脚本的命令
 
1、VCS初次使用UVM时需要进行手动编译UVM库文件,需要修改Makefile.vcs文件并设置UVM环境变量
    环境变量的设置:将uvm-1.1d的路径设置到环境变量中
cd到用户的目录中并编辑.bashrc文件
    source .bashrc 使环境变量生效
 
    修改文件目录:
    /home/richard/uvm-1.1d/examples
    由于我们安装的vcs位64位的 所以需要加上-full64 并保存
    
到下图目录中进行编译uvm
编译结果如下代表编译成功
至此 UVM库文件的设置完成
接下来需要进行UVM实战例子的设置,源代码中给出的是csh 我们改为bash 并进行source生效
或者直接在.bashrc环境变量中设置 这些变量生效也可以。
 
source .bashrc 生效 并查看设置的环境变量是否正确
如果环境变量的打印都是正确的说明已经设置好了
接下来需要修改run文件,在命令中添加-full64 -debug_all
./simv -gui &
 
运行run文件
./run
这样我们就可以用dvt查看UVM实战中的例子了
接下来我们就可以自己写代码 自己学习了
posted @ 2023-05-10 17:58  GalaxyStar  阅读(616)  评论(0编辑  收藏  举报