James Luo

quartus ii中Warning (10236)、Warning (10238)的解决办法

quartus ii中Warning (10236)、Warning (10238)的解决办法

 
 Warning (10236): Verilog HDL Implicit Net warning at forward_replace.v(16): created implicit net for "out_1"
将out_1声明为wire型即可,这个问题出现在调用的两个子模块连接上,一个子模块的输出直接通过一根线连接到另一个子模块的输出,需要声明连线为wire型。
 
Warning (10238): Verilog Module Declaration warning at LongSerial_To_ShortParallel.v(18): ignored anonymous port(s) indicated by duplicate or dangling comma(s) in the port list for module "LongSerial_To_ShortParallel" 
这个是端口定义时出了问题,在最后的端口多了一个逗号………………
关于en信号:
en信号表示信号的到来,在en到来的时候模块开始工作,使用中间寄存器保持en的状态来维持工作状态,当中间寄存器到达一个特定的值的时候表示工作已经完成,输出结果和open输出使能,通知下一模块可以工作。使能时间可长可短。

posted on 2012-12-13 16:31  James Luo  阅读(2427)  评论(0编辑  收藏  举报

导航