verilog中移位循环

语法格式可以为

led<={led[6:0],led[7]}
led<={led[7],led[6:0]}

表示了8位数码灯循环左移

例如:8位数码管流水灯语句可以表示为

always@(posedge clk or negedge rst_n)
    if(!rst_n) led<=8'b1111_1110;
    else if(cnt==20'd999_999) led<={led[6:0],led[7]};
    else;

 

posted on 2018-06-14 12:43  WhiteMaple  阅读(5864)  评论(0编辑  收藏  举报

导航