防止部分Verilog模块被综合,编辑器的指示语句

//synopsys translate_off

要忽略的部分Verilog模块语句

//synopsys translate_on

posted @ 2019-09-04 17:09  Pine1  阅读(535)  评论(0编辑  收藏  举报