基于verilog的16-qam解调

对应于前面所写的16-qam调制,着手对应的解调。

posted @ 2011-08-05 10:30  hercMoray  阅读(981)  评论(2编辑  收藏  举报