uvm_reg 与 uvm_rgm

1. uvm_reg

寄存器类型是uvm_reg class,定义了寄存器的各个比特,包括名字,初始值,比特位大小,然后再在uvm_reg_block里面将各个寄存器例化,设置名字,地址等。

然后在env里面例化uvm_reg_block, 设置它的bus sequencer/adapter, 以及基地址和HDL hierarchy.

在test里面进行寄存器访问时,只需要利用uvm_reg_block,根据寄存器名字来进行读写操作。

2. uvm_rgm

寄存器类型是uvm_rgm_sized_register, 定义了寄存器的比特位,初始值,名字,然后再在uvm_rgm_register_file里面例化各个寄存器,设置名字,地址,然后在uvm_rgm_address_map中例化uvm_rgm_register_file, 再在uvm_rgm_rdb中例化uvm_rgm_address_map。

在env中例化uvm_rgm_rdb, 设置container, m_rgm_sequencer_h.set_container(m_rdb_h.map); 再连接rgm_master_sequencer_h m_rgm_sequencer_h,在rgm_master_sequencer_h中例化了adapter。在rgm_master_sequencer_h中定义了put_op(),其中调用adapter的excute_op(); 当m_rgm_sequencer_h中调用req_port.put()时,实际上就是调用rgm_master_sequencer_h.put_op(),从而调用adapter.excute_op()。

通过extend uvm_rgm_built_in_base_seq,然后get_reg_by_addr()来获得寄存器句柄(uvm_rgm_register_base),从而对该寄存器进行操作。

 

综合来看,uvm_reg方式更简便。

posted on 2018-09-03 16:57  lybinger  阅读(945)  评论(0编辑  收藏  举报

导航