verilog文件操作

integer file_Id;

initial begin

  file_Id = $fopen("$FILE__NAME");

  $fdisplay(file_Id, "DISPLAY");

  $fclose(file_Id);

end

posted on 2017-12-11 14:02  lybinger  阅读(491)  评论(0编辑  收藏  举报

导航