参数化类

class stack #(type T=int);

  local T item[];

  task push(T a);... endtask

  task pop(ref T a);... endtask

endclass

stack#(real) rs;

 

class D1 #(type P=real) extends stack;     // D1 includes 2 parameterized types: P, T. T is int,P is real by default.

class D1 #(type P=real) extends stack #(bit);   // T is bit

class D1 #(type P=real) extends stack #(P);  // T is P

posted on 2017-11-28 15:12  lybinger  阅读(198)  评论(0编辑  收藏  举报

导航