system verilog如何释放内存

system verilog会自动释放内存。当离开动态变量所在的作用域后,变量所占据的内存会被自动释放。

对于class类型的object,可以通过赋值null来主动释放内存。

posted on 2017-11-27 16:49  lybinger  阅读(550)  评论(0编辑  收藏  举报

导航