解决Altera家的ROM仿真数据一直为0

BUG现象

各种配置都正确,但ROM就是不出数据,输出一直为零。

解决方式

对于rom的仿真,要更改生成的rom文件的一个地方,主要是由于相对路径与绝对路径的问题。

所以最简单的解决方法是把mif文件在仿真工程目录下。

对romip的verilog文件有一个地方需要更改。

当然改完后quartus可能会找不着mif文件的位置,此时可以把mif文件复制到你的quartus工程目录下。

以上。

posted @ 2017-09-04 20:02  小翁同学  阅读(840)  评论(0编辑  收藏  举报